Daisy, Bela, Esp32, FPGA, OWL, Teensy, Ksoloti

Maar code is nog geen connectie in de zin van een stukje geleidend materiaal dat al dan niet aanwezig is. Die stap begrijp ik nog niet.
 
Maar code is nog geen connectie in de zin van een stukje geleidend materiaal dat al dan niet aanwezig is. Die stap begrijp ik nog niet.

Ja daar zit wel een configureerbaar switch matrix in. Hoe die cellen precies geconfigureerd worden zal google zeker weten. Maar bij programmatie in VHDL bv. gebeurt dat allemaal vrij automatisch ...


Representation-of-a-generic-island-style-FPGA-which-includes-CLBs-switch-matrices.png
 
Programmeren dmv. VHDL lijkt niet zo heel moeilijk. Van hier een voorbeeldje voor een 4 bit Johnson counter. Doet wel wat denken aan Pascal. :luv:

Code:
library ieee;
use ieee.std_logic_1164.all;

entity johnson_counter is
port(clk : in std_logic;
    reset : in std_logic;
    count : out std_logic_vector(3 downto 0)
    );
end johnson_counter;

architecture Behavioral of johnson_counter is

signal temp : std_logic_vector(3 downto 0) := (others => '0');

begin

--assign the temparary signal to output port.
--In VHDL-1997, output ports cannot be read. Thats why we use temp here.
count <= temp; 

process(clk)
begin
if(rising_edge(clk)) then
    if (reset = '1') then ---synchronous reset
        temp <= (others => '0');
    else
        --these are concurrent statements. 
        --which means they all execute at the same time.
        temp(1) <= temp(0);
        temp(2) <= temp(1);
        temp(3) <= temp(2);
        temp(0) <= not temp(3);
    end if;
end if;
end process;
   
end Behavioral;
 
Interessant topic.

Heb wel wat met teensy gedaan, soort midi-cv/gate met 64 cv's en 8 gates, met de 3.5.
Eigenlijk soort sturing voor een polyfone synth.
Zelfs bordje voor ontworpen. Kan alweer niet meer dienen: SH chips niet meer verkrijgbaar, de teesy 3.5 ook niet. Migreren naar de Teensy 4 betekent weer een en ander herdoen want de 4 heeft geen dac's en is niet 5v tolerant.
Je zou er moedeloos van worden.

Ik ga wel enkele van de hier aangehaalde platformen bekijken, digitale audioprocessing is niet echt m'n ding maar het interesseert me wel.
Welk systeem is het interessantst om fm synthese te doen richting het niveau van dx7.
of droom ik.
 
Jammer is dat. Omdat MCUs en SBCs best snel wijzigen denk ik al jaren dat er (voor mij) platform onafhankelijke modules nodig zijn. Bijvoorbeeld een 8 x CV out module die via serial of ic2 werkt.
Je hebt nu wel de Daisy Subpatch.
 
Een kant en klare plug en play oplossing spreekt me wel aan. Met led jacks die Expert Sleepers gebruikt. DIY met minder ge-Do.
 
Back
Top