Slew Limiter = Integrator?

ProgHead

Gepokt en gemazeld
Lid sinds
26 februari 2019
Berichten
5.557
Locatie
Zeist
Is een slew limiter module in een modular hetzelfde als wat in een analoge computer een integrator unit heet?
 
Niet helemaal hetzelfde, maar je zit warm. Leuk artikel hier:

 
Mooi! Daar kan ik aan rekenen. Hier vast het basisschema uit je link:

basic.png
 
Als ik in robotische regelkringen geen al te plotse wijzigingen wil, doe ik vaak dit: gefilterd signaal = (laatste 9 waarden van dit gefilterd signaal + nieuwe ongefilterde waarde)/10. Dat gedraagt zich als een slew limiter, als een low pass filter, maar is wiskundig dus ook een integrator maal een constante. Wel eentje die meeschuift, vandaar moving average.

Je zou nog kunnen opteren om altijd het ongefilterde signaal te middelen ipv het gefilterde, maar ik heb me er nooit genoeg in verdiept om te zeggen wat de wiskundige en praktische gevolgen zijn. Ik ben nogal praktisch van aanpakken wat regelingen betreft.

Nog een trucje: als je geen moving array van de afgelopen 10 (vb) waarden wil bijhouden kan je ook doen: nieuwe gefilterde waarde = (oude gefilterde waarde*9 + nieuwe ongefilterde waarde)/10. Weerom, schiet me niet af op de wiskundige correctheid, maar het gedrag werkt voor mij.
 
Probleem is dat ik juist een module zoek die zo precies mogelijk de integraal van het ingangssignaal oplevert gerekend vanaf het inschakelen van het circuit (t=0) tot aan het huidige tijdstip. Dat is nodig omdat ik er differentiaalvergelijkingen mee wil simuleren. Maar ik zie al dat de comparator de zaak (voor mijn doel) bederft. De uitgangsspanning daarvan kan immers alleen plus of min de voedingsspanning (of 0) zijn. Zo wordt de integrator in het circuit ook niet meer door (een geschaalde versie van) het ingangssignaal van het circuit aangestuurd. De integrator doet nu enkel mee zolang het uitgangssignaal nog op het verloop van het ingangssignaal achterblijft.
 
Als alternatief zou je een VCA voor een integrator kunnen plaatsen, om het voltage controlled te maken en te kunnen schalen, dat is misschien het meest eenvoudige. Of zoek je iets anders wat het moet doen?
 
Probleem is dat ik juist een module zoek die zo precies mogelijk de integraal van het ingangssignaal oplevert gerekend vanaf het inschakelen van het circuit (t=0) tot aan het huidige tijdstip.

Maar een simpele integrator doet dit toch, in principe? Met een schaling door de gekozen waarden voor R en C, kies voor geschikte waarden (met eventueel een weerstand parallel aan C waar je ook rekening mee moet houden) zodat het circuit bruikbaar is binnen een gekozen frequentieband, dan moet dat toch werken?
 
De luiheid speelt mij hier parten. :o: Het zou handig zijn als er kant en klare integrator units voor eurorack te koop waren, maar daar zitten steeds weer haken en ogen aan in die zin dat het geen echte integrators zijn zoals die in analoge computers voorkomen. Of ik zou er nog The Analog Thing bij moeten kopen. Maar die kan sowieso pas vanaf december geleverd worden (want die wordt in batches geproduceerd)...
 
Och, ik denk dat een goedwerkende integrator juist vrij gemakkelijk is om te maken. Dat gaat niet echt veel tijd en moeite kosten, in vergelijking met andere dingen.


Zoals een multiplier. :duivels:

;)
 
Back
Top